Follow
Vladimir Stojanovic
Vladimir Stojanovic
Professor of Electrical Engineering and Computer Sciences, University of California
Verified email at berkeley.edu - Homepage
Title
Cited by
Cited by
Year
Single-chip microprocessor that communicates directly using light
C Sun, MT Wade, Y Lee, JS Orcutt, L Alloatti, MS Georgas, AS Waterman, ...
Nature 528 (7583), 534-538, 2015
13052015
Comparative analysis of master-slave latches and flip-flops for high-performance and low-power systems
V Stojanovic, VG Oklobdzija
IEEE Journal of solid-state circuits 34 (4), 536-548, 1999
8821999
Integrating photonics with silicon nanoelectronics for the next generation of systems on a chip
AH Atabaki, S Moazeni, F Pavanello, H Gevorgyan, J Notaros, L Alloatti, ...
Nature 556 (7701), 349-354, 2018
7832018
DSENT-a tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling
C Sun, CHO Chen, G Kurian, L Wei, J Miller, A Agarwal, LS Peh, ...
2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip, 201-210, 2012
6982012
Improved sense-amplifier-based flip-flop: Design and measurements
B Nikolic, VG Oklobdzija, V Stojanovic, W Jia, JKS Chiu, MMT Leung
IEEE Journal of Solid-State Circuits 35 (6), 876-884, 2000
6032000
Building manycore processor to DRAM networks with monolithic silicon photonics
C Batten, A Joshi, J Orcutt, A Khilo, B Moss, C Holzwarth, M Popović, H Li, ...
IEEE Symposium on High-Performance Interconnects, 21-30, 2008
5782008
Design and analysis of a hardware-efficient compressed sensing architecture for data compression in wireless sensors
F Chen, AP Chandrakasan, VM Stojanovic
IEEE Journal of Solid-State Circuits 47 (3), 744-756, 2012
4472012
Silicon-photonic clos networks for global on-chip communication
A Joshi, C Batten, YJ Kwon, S Beamer, I Shamim, K Asanovic, ...
2009 3rd ACM/IEEE International Symposium on Networks-on-Chip, 124-133, 2009
4422009
Equalization and clock recovery for a 2.5-10-Gb/s 2-PAM/4-PAM backplane transceiver cell
JL Zerbe, CW Werner, V Stojanovic, F Chen, J Wei, G Tsang, D Kim, ...
IEEE Journal of Solid-State Circuits 38 (12), 2121-2130, 2003
3592003
Modeling and analysis of high-speed links
V Stojanovic, M Horowitz
Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003 …, 2003
2952003
Open foundry platform for high-performance electronic-photonic integration
JS Orcutt, B Moss, C Sun, J Leu, M Georgas, J Shainline, E Zgraggen, ...
Optics Express 20 (11), 12222-12232, 2012
2772012
Methods for true energy-performance optimization
D Markovic, V Stojanovic, B Nikolic, MA Horowitz, RW Brodersen
IEEE Journal of Solid-State Circuits 39 (8), 1282-1293, 2004
2602004
Digital system clocking: high-performance and low-power aspects
VG Oklobdzija, VM Stojanovic, DM Markovic, NM Nedovic
John Wiley & Sons, 2005
2542005
Circuits and techniques for high-resolution measurement of on-chip power supply noise
E Alon, V Stojanovic, MA Horowitz
Solid-State Circuits, IEEE Journal of 40 (4), 820-828, 2005
2332005
Monolithic silicon-photonic platforms in state-of-the-art CMOS SOI processes
V Stojanović, RJ Ram, M Popović, S Lin, S Moazeni, M Wade, C Sun, ...
Optics express 26 (10), 13106-13121, 2018
2282018
Demonstration of integrated micro-electro-mechanical relay circuits for VLSI applications
M Spencer, F Chen, CC Wang, R Nathanael, H Fariborzi, A Gupta, H Kam, ...
IEEE Journal of Solid-State Circuits 46 (1), 308-320, 2010
2212010
Integrated circuit design with NEM relays
F Chen, H Kam, D Markovic, TJK Liu, V Stojanovic, E Alon
2008 IEEE/ACM International Conference on Computer-Aided Design, 750-757, 2008
2112008
Autonomous dual-mode (PAM2/4) serial link transceiver with adaptive equalization and data recovery
V Stojanovic, A Ho, BW Garlepp, F Chen, J Wei, G Tsang, E Alon, ...
IEEE Journal of Solid-State Circuits 40 (4), 1012-1026, 2005
2092005
Nanophotonic integration in state-of-the-art CMOS foundries
JS Orcutt, A Khilo, CW Holzwarth, MA Popović, H Li, J Sun, T Bonifield, ...
Optics express 19 (3), 2335-2346, 2011
2062011
Silicon photonics for compact, energy-efficient interconnects
T Barwicz, H Byun, F Gan, CW Holzwarth, MA Popovic, PT Rakich, ...
Journal of Optical Networking 6 (1), 63-73, 2007
2002007
The system can't perform the operation now. Try again later.
Articles 1–20