Follow
George Papadimitriou
Title
Cited by
Cited by
Year
Harnessing voltage margins for energy efficiency in multicore CPUs
G Papadimitriou, M Kaliorakis, A Chatzidimitriou, D Gizopoulos, ...
Proceedings of the 50th Annual IEEE/ACM International Symposium on …, 2017
962017
Demystifying soft error assessment strategies on arm cpus: Microarchitectural fault injection vs. neutron beam experiments
A Chatzidimitriou, P Bodmann, G Papadimitriou, D Gizopoulos, P Rech
2019 49th Annual IEEE/IFIP International Conference on Dependable Systems …, 2019
652019
Adaptive voltage/frequency scaling and core allocation for balanced energy and performance on multicore cpus
G Papadimitriou, A Chatzidimitriou, D Gizopoulos
2019 IEEE international symposium on high performance computer architecture …, 2019
512019
Demystifying the System Vulnerability Stack: Transient Fault Effects Across the Layers
G Papadimitriou, D Gizopoulos
48th ACM/IEEE International Symposium on Computer Architecture (ISCA), 902-915, 2021
402021
Multi-bit upsets vulnerability analysis of modern microprocessors
A Chatzidimitriou, G Papadimitriou, C Gavanas, G Katsoridas, ...
2019 IEEE International Symposium on Workload Characterization (IISWC), 119-130, 2019
352019
Voltage margins identification on commercial x86-64 multicore microprocessors
G Papadimitriou, M Kaliorakis, A Chatzidimitriou, C Magdalinos, ...
2017 IEEE 23rd international symposium on on-line testing and robust system …, 2017
332017
Exceeding conservative limits: A consolidated analysis on modern hardware margins
G Papadimitriou, A Chatzidimitriou, D Gizopoulos, VJ Reddi, J Leng, ...
IEEE Transactions on Device and Materials Reliability 20 (2), 341-350, 2020
272020
Modern hardware margins: CPUs, GPUs, FPGAs recent system-level studies
D Gizopoulos, G Papadimitriou, A Chatzidimitriou, VJ Reddi, B Salami, ...
2019 IEEE 25th International Symposium on On-Line Testing and Robust System …, 2019
272019
Measuring and exploiting guardbands of server-grade ARMv8 CPU cores and DRAMs
K Tovletoglou, L Mukhanov, G Karakonstantis, A Chatzidimitriou, ...
2018 48th Annual IEEE/IFIP International Conference on Dependable Systems …, 2018
272018
Micro-viruses for fast system-level voltage margins characterization in multicore CPUs
G Papadimitriou, A Chatzidimitriou, M Kaliorakis, Y Vastakis, ...
2018 IEEE International Symposium on Performance Analysis of Systems and …, 2018
272018
Statistical analysis of multicore CPUs operation in scaled voltage conditions
M Kaliorakis, A Chatzidimitriou, G Papadimitriou, D Gizopoulos
IEEE Computer Architecture Letters 17 (2), 109-112, 2018
262018
Soft error effects on arm microprocessors: Early estimations versus chip measurements
PR Bodmann, G Papadimitriou, RLR Junior, D Gizopoulos, P Rech
IEEE Transactions on Computers 71 (10), 2358-2369, 2021
232021
Assessing the effects of low voltage in branch prediction units
A Chatzidimitriou, G Papadimitriou, D Gizopoulos, S Ganapathy, ...
2019 IEEE International Symposium on Performance Analysis of Systems and …, 2019
212019
An energy-efficient and error-resilient server ecosystem exceeding conservative scaling limits
G Karakonstantis, K Tovletoglou, L Mukhanov, H Vandierendonck, ...
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2018
182018
A system-level voltage/frequency scaling characterization framework for multicore CPUs
G Papadimitriou, M Kaliorakis, A Chatzidimitriou, D Gizopoulos, G Favor, ...
arXiv preprint arXiv:2106.09975, 2017
182017
The impact of cpu voltage margins on power-constrained execution
P Koutsovasilis, CD Antonopoulos, N Bellas, S Lalis, G Papadimitriou, ...
IEEE Transactions on Sustainable Computing 7 (1), 221-234, 2020
172020
The impact of soc integration and os deployment on the reliability of arm processors
P Bodmann, G Papadimitriou, D Gizopoulos, P Rech
2021 IEEE International Symposium on Performance Analysis of Systems and …, 2021
122021
Healthlog monitor: Errors, symptoms and reactions consolidated
A Chatzidimitriou, G Papadimitriou, D Gizopoulos
IEEE Transactions on Device and Materials Reliability 19 (1), 46-54, 2019
112019
Analysis and characterization of ultra low power branch predictors
A Chatzidimitriou, G Papadimitriou, D Gizopoulos, S Ganapathy, ...
2018 IEEE 36th International Conference on Computer Design (ICCD), 144-147, 2018
112018
Characterizing Soft Error Vulnerability of CPUs Across Compiler Optimizations and Microarchitectures
G Papadimitriou, D Gizopoulos
2021 IEEE International Symposium on Workload Characterization (IISWC), 113-124, 2021
102021
The system can't perform the operation now. Try again later.
Articles 1–20